ModelSim的入门仿真步骤

0 评论

ModelSim仿真分为以下6个主要步骤:

1. 新建工程

2. 新建或导入工程文件

3. 文档编译

4. 开始仿真

5. 添加仿真波形

6. 调整仿真时间

1. 新建工程:

点击左上角“File–Project”

弹出如下界面,在“Project Name”里填入工程名字,在“Project Location”中选择工程路径。点击“OK”完成新建工程。

  2. 新建或导入工程文件

  完成新建工程后弹出添加文件界面,可选择“Create New File”新建工程文件,也可通过“Add Existing File”加入已有工程文件

3. 文档编译

添加文件后,文件会有一个问号表示状态未知,需右键“Compile all”点击编译。编译成功后,文档显示为对勾√

4. 开始仿真

在“Project”界面点击“Simulate”后,选择“start simulation”。并选择tb作为仿真主文件。注意:若在“Library”界面直接点击上方的Simulate会报错,需要在该界面的work下,选择需要仿真的文件,右键进行Simulate。  

5. 添加仿真波形

6. 调整仿真时间,跑出自己的有效信号 

相关软件
ModelSim 可模拟行为、RTL 和门级代码 - 通过独立于平台的编译提高设计质量和调试效率。单内核模拟器技术可在一个设计中实现 VHDL 和 Verilog 的透明混合。
相关阅读